Đăng Ký
Trang 2 của 2 Đầu tiênĐầu tiên 12
Kết quả 11 đến 13 của 13
  1. #11
    Thành viên cấp 2
    Ngày tham gia
    Jul 2013
    Bài viết
    97
    Thanks
    65
    3 lượt trong 3 bài viết
    Trích dẫn Gửi bởi Mechatronic_Hieu Xem bài viết
    ý mình là ở vị trí s1 phát hiện, chứ còn =1 hay =0 thì do đấu thuần hở hay thuần đóng thôi, k quan trọng
    anh ơi..e làm được cái tự động chạy rồi..còn cái dừng khi ấn nút stop mà làm theo đề bài thì e làm mãi chẳng được..a giúp e với

  2. #12
    Thành viên cấp 4
    Ngày tham gia
    Oct 2011
    Bài viết
    300
    Thanks
    17
    89 lượt trong 75 bài viết
    Khi nhấn rnút stop thì reset bit start_enable là dc
    Nhận hợp tác lập trình các loại PLC và xử lý sự cố về hệ thống tự động hóa
    Gm: Hidden Content

  3. Bài viết của "Mechatronic_Hieu" đã được cám ơn bởi các thành viên:


  4. #13
    Thành viên cấp 2
    Ngày tham gia
    Jul 2013
    Bài viết
    97
    Thanks
    65
    3 lượt trong 3 bài viết
    Trích dẫn Gửi bởi Mechatronic_Hieu Xem bài viết
    Khi nhấn rnút stop thì reset bit start_enable là dc
    e cảm ơn anh..hihi e làm được rồi..

Trang 2 của 2 Đầu tiênĐầu tiên 12

Đánh dấu

Quyền viết bài

  • Bạn Không thể gửi Chủ đề mới
  • Bạn Không thể Gửi trả lời
  • Bạn Không thể Gửi file đính kèm
  • Bạn Không thể Sửa bài viết của mình
  •  
Back to Top